EUV lithografie revisited

na drie decennia van ontwikkeling, is een nieuwe generatie lithografiemachines nu verzonden naar grote computerchipfabrikanten. Het maakt gebruik van extreem ultraviolet (EUV) licht bij een golflengte van 13,5 nm om silicium functies te maken tot een paar nanometers in grootte op de geheugenchips en processors van morgen.

met meer dan 100.000 componenten is een dergelijk EUV-lithografiesysteem een van de meest complexe machines ooit gebouwd. Het wordt gepompt door het krachtigste lasersysteem ooit gemaakt in serieproductie. In totaal weegt hij 180 ton en verbruikt hij meer dan 1 MW elektrisch vermogen. Het kost $120 miljoen en is jarenlang uitverkocht.

de grote gieterijen wachten er al geruime tijd op. Grote technische problemen worden nu opgelost dankzij een unieke alliantie tussen hightechbedrijven zoals Trumpf (Ditzingen, Duitsland), Zeiss (Oberkochen, Duitsland) en ASML (Veldhoven, Nederland). Het is de moeite waard om een kijkje te nemen in de geschiedenis van dit technologische meesterwerk, en ook om na te denken over wat er zou kunnen komen.

waarom EUV?Extreem ultraviolet (ook wel XUV genoemd) betekent zachte röntgenstraling met golflengten tussen 124 en 10 nm of fotonenenergieën tussen 10 eV en 124 eV. De zon produceert EUV; mensen maken het door synchrotronen, of uit plasma.

tot nu toe hebben chipfabrikanten ultraviolet (laser) licht gebruikt om complexe patronen te projecteren op siliciumwafers die met fotoresist zijn bekleed. In een proces analoog aan de ontwikkeling van de oude papieren foto ‘ s, worden deze patronen ontwikkeld en worden geleidende of isolerende structuren binnen één laag. Dit proces wordt herhaald totdat de complexe systemen die een geïntegreerde schakeling vormen, zoals een microprocessor, zijn voltooid.

de ontwikkeling van dergelijke lithografische systemen wordt gedreven door de economie: er is steeds meer rekenkracht en opslagcapaciteit nodig, terwijl de kosten en het energieverbruik moeten worden verlaagd. Deze ontwikkeling kan worden beschreven in een eenvoudige regel, bekend als de wet van Moore, die zegt dat het aantal transistors in een dichte geïntegreerde schakeling verdubbelt ongeveer elke twee jaar.

een belangrijke beperking komt voort uit de wetten van de optica. De Duitse natuurkundige Ernst Abbe vond dat de resolutie van een microscoop d (ruwweg) beperkt is tot de golflengte λ van het licht dat in verlichting wordt gebruikt:

d = λ/(nsin (α)) (1)

waarbij n de brekingsindex is van het medium tussen de lens en het object en α de halve hoek van de lichtkegel van het object is. Voor lithografie, waarbij n sin (α) wordt vervangen door numerieke apertuur(NA) en een factor k aan de formule wordt toegevoegd (omdat de lithografische resolutie sterk kan worden aangepast met verlichtingstrucs), is de minimale haalbare structuur of kritische dimensie (CD):

CD = kλ/NA (2)

deze formule, die alle lithografische beeldvormingsprocessen regelt, maakt duidelijk waarom de golflengte zo ‘ n cruciale parameter is. Als gevolg daarvan zijn ingenieurs op zoek naar lichtbronnen met steeds kortere golflengten om steeds kleinere functies te produceren. Beginnend met UV kwikdamplampen, verplaatsten ze zich naar excimer lasers met een golflengte van 193 nm. De lithografie-industrie kreeg een verrassing toen Intel in Mei 2003 aankondigde dat het de 157 nm excimer laser zou laten vallen als een volgende stap en in plaats daarvan voor EUV zou gaan bij een golflengte van 13,5 nm. Problemen met optische materialen werden gezien als het grootste obstakel, en EUV leek slechts een paar ontwikkelingsstappen verwijderd.In die tijd werd gemeld dat Intel Fellow en directeur van het bedrijf lithografie capital equipment operations, Peter Silverman, een roadmap presenteerde waarin EUV werd ingezet voor de 32-nm node in 2009. Dat bleek veel te optimistisch, en mensen moesten manieren vinden om de 193 nm bronnen te benutten om steeds kleinere functies te bereiken door middel van technieken zoals immersielithografie en verfijnde verlichtingstrucs.

een EUV-lichtbron voor de industrie

veel problemen moesten worden opgelost voor EUV-lithografie; allereerst was er een krachtige lichtbron nodig. In de vroege jaren 2000, ontlading-plasma-gebaseerde bronnen (zoals aangeprezen door Xtreme Technologies) leek het meest gunstig, maar al snel laser-geproduceerde plasma bronnen bleek dat ze het meest levensvatbaar waren voor opschaling. Groepen in Japan, Europa en de VS werkten hard aan deze aanpak.Uiteindelijk won Cymer in San Diego de race met een systeem dat een CO2-laser gebruikt om EUV-straling te creëren van een tindruppeltje van 30 µm. Terwijl ze in 2007 een nogal onstabiele 30 W bron promootten, was het 2014 toen ze voor het eerst lieten zien hoe ze 250 W konden bereiken, een getal dat werd beschouwd als de doorbraak voor productie in grote hoeveelheden. Het verhogen van de efficiëntie van het EUV-conversieproces was een prachtig stuk toegepast onderzoek dat EUV-lithografie toch levensvatbaar maakte. Om sneller vooruitgang mogelijk te maken (en om zijn enige leverancier te beveiligen), kocht ASML Cymer in 2012.

de uiteindelijke oplossing voor het maken van net genoeg EUV-straling voor commerciële levensvatbaarheid is een machine die zelfs doorgewinterde laserexperts onder de indruk brengt. Hij is gebaseerd op de krachtigste laser die ooit in serieproductie is gebouwd: een 40 kW CO2-laser. Het hele systeem heeft een voeding van 1 MW nodig. Aangezien slechts de kleine fractie van 200 W vermogen wordt gebruikt voor de verwerking van de wafer, is koeling een belangrijk probleem.

de enige leverancier van deze technologie is TRUMPF in Ditzingen, Duitsland. Trumpf-eigenaar en CTO Peter Leibinger is zich terdege bewust van de rol van zijn bedrijf: “als we falen, stopt de wet van Moore. Natuurlijk is de wereld niet afhankelijk van TRUMPF, maar zonder TRUMPF kon de chipindustrie het niet”, zei hij in een interview in 2017.

typische CO2-lasers Van TRUMPF leveren enkele kilowatt continue-golf (CW) straling. Dit is precies goed voor het snijden van staal. Voor EUV ontwikkelde TRUMPF een laser die 40 kW gepulste straling produceert bij een herhalingssnelheid van 50 kHz. De laser, met zijn twee zaaimachines en vier versterkingstrappen, is zo groot dat hij op een aparte vloer onder de EUV-machine moet worden geplaatst.Peter Leibinger, CTO van TRUMPF, toont de 40 kW CO2-laser die de EUV-generator pompt.Peter Leibinger, CTO van TRUMPF, toont de 40 kW CO2-laser die de EUV-generator pompt.TRUMPF

om gelijke tred te houden met de marktvraag, heeft TRUMPF zwaar geïnvesteerd in een geheel nieuwe fabriek met 10 productiebakken alleen voor deze lasers. Met 10 weken om ze samen te stellen, heeft het bedrijf nu een capaciteit van 50 systemen per jaar. Op het moment van schrijven waren 44 systemen in het veld met een totaal van 30 zendingen verwacht in 2019.

Opmerking-De resolutie van de NXE: 3400 systemen is ongeveer 13 nm; dit verwijst naar Formule (2) en de werkelijke poortafstand. Dit is heel anders dan de “nodes” vaak besproken de chip fabrikanten. Oorspronkelijk verwezen de knooppunten naar de poortlengte van een transistor. Uiteraard kan dit verschillen in functie van het proces en dus van de fabrikant. Tegenwoordig verwijst het knooppunt echter alleen naar een bepaald proces dat is ontwikkeld door een chipmaker en komt het niet direct overeen met de resolutie van de optica. Bijvoorbeeld, chip makers tout 7 nm of 3 nm nodes verwijzen naar hun eigen processen met behulp van soortgelijke EUV machines.binnen NXE: 3400 - het volledige optische lichtpad met de EUV-bron rechtsonder en het masker bovenaan.binnen NXE:3400 – het volledige optische lichtpad met de EUV-bron rechtsonder en het masker bovenaan.ASML

samenwerking is de sleutel

terwijl er in totaal meer dan 1000 leveranciers bij betrokken zijn, wordt de kerntechnologie gemaakt door Trumpf, Zeiss en ASML. Zij ontwikkelden nogal onconventionele vormen van samenwerking in het EUV-project. Peter Leibinger van Trumpf noemde het een “virtueel gefuseerd bedrijf” met een open-book beleid en een uitgebreide uitwisseling van mensen en knowhow.

Zeiss SMT heeft een lange geschiedenis met ASML, aangezien het bedrijf zijn eerste lithografische optica voor Philips produceerde in 1983; dat bedrijf werd afgesplitst in 1984 en heette ASML.

samen veroverden Zeiss en ASML de markt voor lithografiesystemen ruim voor EUV. In 2010 hadden zij al een marktaandeel van ongeveer 75% voor lithografiesystemen. Tot nu toe zijn zij de enige leveranciers van EUV-systemen van industriële kwaliteit. Om de relatie te bevorderen kocht ASML in November 2016 een belang van 24,9% in Zeiss SMT voor ongeveer een miljard euro. Daarnaast beloofde ASML zes jaar lang de R&D-inspanningen van Zeiss SMT te ondersteunen met 220 miljoen euro plus enkele investeringssteun van 540 miljoen euro.

dat geld was hard nodig, omdat Zeiss zwaar investeerde in EUV. Het bedrijf bouwde hallen voor productie en metrologie in de buurt van Oberkochen, Duitsland; momenteel voltooit het de voorbereiding op de volgende generatie EUV-optica met een hogere NA—investering van nog eens 700 miljoen Euro. Dit omvat hoogvacuümkamers ter grootte van een vrachtwagen voor metrologie van de optische systemen. De spiegeloppervlakken die in deze kamers worden getest, hebben een maximale tolerantie van 0,5 nm, dus ze gebruiken de meest nauwkeurige uitlijnings-en metrologietechnologie die ooit in de industrie is gebouwd.

eindmontage van een werktuig van 180 ton

Zeiss SMT heeft een gigantische high-tech-installatie, maar wordt in omvang bekroond door de productiehallen in de Veldhovense vestigingen van ASML. Als je naar de cafetaria gaat, voel je je misschien op een campus in Californië. Veel jonge mensen uit alle landen verzamelen zich om hun lattes te drinken. Deze omgeving kan het feit weerspiegelen dat ASML snel nieuwe medewerkers heeft moeten inhuren om gelijke tred te houden met hun snelle groei. In 2018 groeide hun personeel met 21%, nu werken meer dan 800 PhD ‘ s en meer dan 7500 ingenieurs in een totaal personeelsbestand van 23.000.

de gebouwen achter de cafetaria vormen de grootste cleanroomfaciliteit die ik ooit heb gezien. In vijf bij vijf hallen zijn de EUV-steppers klaar. Het huidige topmodel, de NXE: 3400B, weegt 180 ton en heeft 20 trucks of drie volledig beladen Boeing 747 ‘ s nodig voor verzending. Het prijskaartje is $120 miljoen. Het kan 125 wafers per uur verwerken met een resolutie tot 13 nm.

in de tweede helft van 2019 werd de verzending van een verbeterde NXE:3400C aangekondigd. Het zal voorzien zijn van hogere transmissie optische elementen, een modulair vat voor aanzienlijk snellere bruikbaarheid, en een snellere reticle en wafer handler ter ondersteuning van verhoogde productiviteit. Deze apparaten bereiken 170 wafers per uur doorvoer.

ASML-medewerkers werken aan de eindmontage van een NXE:3400B zonder de panelen. ASML-medewerkers werken aan de eindmontage van een NXE:3400B zonder de panelen.ASML

wat komt er na EUV?

het antwoord is-EUV. Tot nu toe heeft de EUV optica een NA van 0,33 bereikt. De volgende generatie (ASML kondigde de machine aan als NXE Next) zal een NA van 0,55 hebben, waardoor een resolutie van minder dan 8 nm mogelijk is. Het bestaat uit Grotere optica, wat de grote en toegenomen inspanningen bij Zeiss SMT verklaart. Zeiss is dit jaar al met de productie begonnen. Als gevolg van deze gezamenlijke inspanningen is het duidelijk dat de technologie tot haar fysieke grenzen wordt gedreven, waardoor specificaties mogelijk worden die tot nu toe niet denkbaar waren. De wafers in het lithografiesysteem worden bijvoorbeeld op speciale glasplaten gehouden (zogenaamde waferklemmen). Ze bewegen bij versnellingen tot 3g, waardoor de wafer tot op een nanometer nauwkeurig op zijn plaats blijft. Tegelijkertijd wordt de wafer met EUV-licht verlicht bij een warmtebelasting van 30 kW/m2 zonder zijn precieze locatie te verliezen.Hoewel er nog een aantal technische problemen worden besproken, lijkt de markt er zeer zeker van te zijn dat de EUV-lithografie de halfgeleiderindustrie in de nabije toekomst een aanzienlijk voordeel zal opleveren.

wat komt er na high-NA EUV? Tot nu toe lijkt er nog geen serieus antwoord te zijn. Aan de ene kant bereiden verschillende onderzoeksgroepen zich voor op kortere golflengten. Twee instellingen van het Duitse Fraunhofer Genootschap hebben in 2016 een onderzoeksproject rond “Beyond EUV” afgerond. Zij werkten aan reflecterende coatings (bij IOF) en plasmabronnen (bij ILT) voor een golflengte van 6,7 nm. Een Zwitserse groep vatte fotoresist onderzoek in 2015 samen. De alternatieve methodes van nanopatterning zoals het stempelen of e-straallithografie evolueren. Een “patterning roadmap” in 2017 was een poging om hun verdere ontwikkeling te bespreken.

maar als we deze ontwikkeling van een afstand bekijken, lijkt het erop dat de complexiteit van de lithografische technologie haar haalbare maximum heeft bereikt. Wat ASML en zijn bondgenoten momenteel bouwen in hun high-tech kathedralen toont de grootste en meest geavanceerde technologische systemen van onze tijd. Hoewel dit een verbluffend bewijs is van wetenschappelijke en technische beheersing, laat het de indruk achter dat verdere substantiële vooruitgang een totaal andere aanpak vereist om te voldoen aan de toegenomen eisen inzake gegevensopslag en-verwerking.

Geef een antwoord

Het e-mailadres wordt niet gepubliceerd.