powrót do litografii EUV

po trzech dekadach rozwoju, nowa generacja maszyn litograficznych została wysłana do dużych producentów chipów komputerowych. Wykorzystuje ekstremalne światło ultrafioletowe (EUV) o długości fali 13,5 nm, aby uzyskać właściwości krzemu do kilku nanometrów na układach pamięci i procesorach jutra.

dzięki ponad 100 000 komponentów taki system litografii EUV jest jedną z najbardziej złożonych maszyn, jakie kiedykolwiek zbudowano. Jest pompowany przez najmocniejszy system laserowy, jaki kiedykolwiek powstał w produkcji seryjnej. W sumie waży 180 ton i zużywa więcej niż 1 MW energii elektrycznej. Kosztuje 120 milionów dolarów i jest wyprzedany przez lata.

wielkie odlewnie czekały na niego od dłuższego czasu. Teraz główne problemy techniczne są rozwiązywane dzięki unikalnemu sojuszowi między firmami high-tech, w tym Trumpf (Ditzingen, Niemcy), Zeiss (Oberkochen, Niemcy) i ASML (Veldhoven, Holandia). Warto przyjrzeć się historii tego technologicznego arcydzieła, a także pomyśleć o tym, co może się wydarzyć.

dlaczego EUV?

Ekstremalny ultrafiolet (czasami nazywany również XUV) oznacza miękkie promienie rentgenowskie o długościach fal od 124 do 10 nm lub Energie fotonów od 10 eV do 124 EV. Słońce wytwarza EUV; ludzie tworzą go przez synchrotrony lub z plazmy.

do tej pory producenci chipów używali światła ultrafioletowego (laserowego) do projektowania złożonych wzorów na płytkach krzemowych pokrytych fotorezystem. W procesie analogicznym do rozwoju starych zdjęć papierowych wzory te są rozwijane i stają się strukturami przewodzącymi lub izolującymi w jednej warstwie. Proces ten powtarza się do czasu ukończenia złożonych układów tworzących układ scalony, takich jak mikroprocesor.

rozwój takich systemów litograficznych jest napędzany przez ekonomię: potrzeba coraz większej mocy obliczeniowej i pojemności pamięci masowej, przy jednoczesnym obniżeniu kosztów i zużycia energii. Rozwój ten można opisać w prostej zasadzie, znanej jako prawo Moore ’ a, która mówi, że liczba tranzystorów w gęstym układzie scalonym podwaja się co dwa lata.

główne ograniczenie pochodzi z praw optyki. Niemiecki fizyk Ernst Abbe stwierdził, że rozdzielczość mikroskopu d jest (w przybliżeniu) ograniczona do długości fali λ światła używanego w oświetleniu:

d = λ / (nsin (α)) (1)

gdzie n jest współczynnikiem załamania światła ośrodka między soczewką a obiektem, a α jest połową kąta stożka światła obiektywu. W przypadku litografii, zastępując aperturę numeryczną (na) DLA N sin(α) i dodając współczynnik k do wzoru (ponieważ rozdzielczość litograficzna może być mocno poprawiona sztuczkami oświetleniowymi), minimalna możliwa struktura lub wymiar krytyczny (CD) wynosi:

CD = kλ/na (2)

ten wzór, który reguluje wszystkie procesy obrazowania litograficznego, czyni oczywistym, dlaczego długość fali jest tak kluczowym parametrem. W rezultacie inżynierowie szukali źródeł światła o coraz krótszych długościach fal, aby uzyskać coraz mniejsze funkcje. Począwszy od lamp rtęciowo-parowych UV, przenieśli się do laserów excimerowych o długości fali 193 nm. Przemysł litograficzny otrzymał niespodziankę, gdy Intel ogłosił w maju 2003, że zrezygnuje z lasera excimerowego 157 nm jako następnego kroku i zamiast tego przejdzie na EUV przy długości fali 13,5 nm. Problemy z materiałami optycznymi były postrzegane jako główna przeszkoda, a EUV wydawało się zaledwie kilka kroków od rozwoju.

poinformowano wówczas, że Peter Silverman, członek firmy Intel i dyrektor działu lithography capital equipment operations, przedstawił plan działania pokazujący, że EUV ma zostać wdrożony dla węzła 32-nm w 2009 roku. Okazało się to zdecydowanie zbyt optymistyczne i ludzie musieli znaleźć sposoby na wykorzystanie źródeł 193 nm, aby uzyskać coraz mniejsze cechy Za Pomocą technik, takich jak litografia zanurzeniowa i wyrafinowane sztuczki oświetleniowe.

źródło światła EUV dla przemysłu

wiele problemów musiało zostać rozwiązanych w przypadku litografii EUV; przede wszystkim potrzebne było potężne źródło światła. Na początku 2000 roku Źródła oparte na wyładowaniach plazmowych (takie jak promowane przez Xtreme Technologies) wydawały się najbardziej korzystne, ale wkrótce źródła plazmowe produkowane laserowo wykazały, że są najbardziej opłacalne do skalowania. Grupy w Japonii, Europie i USA ciężko pracowały nad tym podejściem.

wreszcie, firma Cymer z San Diego wygrała wyścig z systemem, który wykorzystuje laser CO2 do tworzenia promieniowania EUV z 30 µm kropli cyny. Podczas gdy w 2007 r. promowali raczej niestabilne źródło o mocy 30 W, to w 2014 r.po raz pierwszy pokazali, jak uzyskać 250 W, liczbę, która była uważana za przełom w produkcji wielkoseryjnej. Zwiększenie wydajności procesu konwersji EUV było wspaniałym dziełem badań stosowanych, które sprawiły, że litografia EUV była opłacalna. Aby umożliwić szybszy postęp (i zapewnić sobie wyłącznego dostawcę), ASML zakupiło Cymer w 2012 roku.

rozwiązaniem pozwalającym uzyskać wystarczającą ilość promieniowania EUV dla rentowności komercyjnej jest maszyna, która robi wrażenie nawet doświadczonym ekspertom Laserowym. Jest on oparty na najmocniejszym laserze, jaki kiedykolwiek został zbudowany w produkcji seryjnej: laserze CO2 o mocy 40 kW. Cały system wymaga zasilania o mocy 1 MW. Ponieważ tylko niewielka część mocy 200 W jest używana do przetwarzania wafla, chłodzenie jest poważnym problemem.

jedynym dostawcą tej technologii jest TRUMPF z Ditzingen w Niemczech. Właściciel Trumpf i CTO Peter Leibinger doskonale zdaje sobie sprawę z roli jego firmy: „jeśli zawiedziemy, Prawo Moore’ a przestanie obowiązywać. Oczywiście świat nie zależy od TRUMPF, ale bez TRUMPF przemysł chipów nie mógłby tego zrobić ” – powiedział w wywiadzie w 2017 roku.

typowe lasery CO2 firmy TRUMPF dostarczają kilka kilowatów promieniowania o fali ciągłej (CW). To jest w sam raz do cięcia stali. Dla EUV TRUMPF opracował laser, który wytwarza 40 kW promieniowania impulsowego z częstotliwością powtarzania 50 kHz. Laser, z dwoma siewnikami i czterema stopniami wzmocnienia, jest tak duży, że musi być umieszczony na oddzielnej podłodze pod maszyną EUV. Peter Leibinger, CTO TRUMPF, pokazuje laser CO2 o mocy 40 kW, który pompuje generator EUV . Peter Leibinger, CTO TRUMPF, pokazuje laser CO2 o mocy 40 kW, który pompuje generator EUV .TRUMPF

aby dotrzymać kroku zapotrzebowaniu rynku, TRUMPF zainwestował w całkowicie nową fabrykę z 10 polami produkcyjnymi przeznaczonymi tylko dla tych laserów. Z 10 tygodniami na ich złożenie, firma ma obecnie wydajność 50 systemów rocznie. W chwili pisania tego tekstu w terenie były 44 systemy, a w 2019 r. spodziewano się 30 dostaw.

Uwaga—rozdzielczość układów NXE:3400 wynosi około 13 nm; odnosi się to do wzoru (2) i rzeczywistego skoku bramki. Jest to bardzo różni się od „węzłów” często omawiane producentów chipów. Pierwotnie węzły odnosiły się do długości bramki tranzystora. Oczywiście może się to różnić w zależności od procesu, a co za tym idzie od producenta. Obecnie jednak węzeł odnosi się tylko do pewnego procesu opracowanego przez producenta chipów i nie odpowiada bezpośrednio rozdzielczości optyki. Na przykład producenci chipów wykorzystują węzły o długości 7 nm lub 3 nm w odniesieniu do swoich zastrzeżonych procesów przy użyciu podobnych maszyn EUV.wewnątrz NXE: 3400-pełna optyczna ścieżka światła ze źródłem EUV w prawym dolnym rogu i maską na górze. wewnątrz NXE:3400-pełna optyczna ścieżka światła ze źródłem EUV w prawym dolnym rogu i maską na górze.ASML

współpraca jest kluczem

podczas gdy ponad 1000 dostawców jest zaangażowanych ogólnie, podstawową technologią są firmy Trumpf, Zeiss i ASML. Wypracowali dość niekonwencjonalne formy współpracy w ramach projektu EUV. Peter Leibinger z Trumpf nazwał ją „praktycznie połączoną firmą” z otwartą polityką książki i rozległą wymianą ludzi i know-how.

Zeiss SMT ma długą historię z ASML, ponieważ firma wyprodukowała swoją pierwszą optykę litograficzną dla Philipsa w 1983 roku; firma ta została rozwiązana w 1984 roku i nazwana ASML.

razem Zeiss i ASML podbiły rynek systemów litograficznych na długo przed EUV. W 2010 r.miały już około 75% udziału w rynku systemów litograficznych. Do tej pory są jedynymi dostawcami systemów EUV klasy przemysłowej. W listopadzie 2016 roku ASML kupiło 24,9% udziałów w Zeiss SMT za około miliard euro. Ponadto ASML obiecał przez sześć lat wspierać wysiłki Zeiss SMT w zakresie R&D, oferując 220 milionów euro plus wsparcie inwestycyjne w wysokości 540 milionów euro.

te pieniądze były bardzo potrzebne, ponieważ Zeiss mocno inwestował w EUV. Firma wybudowała hale produkcyjne i metrologiczne w pobliżu Oberkochen w Niemczech; obecnie kończy przygotowania do nowej generacji optyki EUV z wyższą NA-kolejną inwestycją w wysokości 700 milionów Euro. Obejmuje to Komory wysokiego podciśnienia wielkości ciężarówki do metrologii systemów optycznych. Powierzchnie lustrzane, które są testowane w tych komorach, mają maksymalną tolerancję 0,5 nm, więc wykorzystują najbardziej precyzyjną technologię osiowania i metrologii, jaką kiedykolwiek zbudowano w przemyśle.

montaż końcowy 180-tonowego narzędzia

Zeiss SMT ma gigantyczny, zaawansowany technologicznie zakład, ale jego rozmiary przewyższają hale produkcyjne w zakładach Veldhoven w ASML. Jeśli pójdziesz tam do stołówki, możesz poczuć się jak na kampusie w Kalifornii. Wielu młodych ludzi ze wszystkich narodów gromadzi się i pije latte. To środowisko może odzwierciedlać fakt, że ASML musiało szybko zatrudnić nowych pracowników, aby dotrzymać kroku szybkiemu wzrostowi. W 2018 roku liczba pracowników wzrosła o 21%, zatrudniając obecnie ponad 800 doktorów i ponad 7500 inżynierów, zatrudniając łącznie 23 000 pracowników.

budynki za stołówką stanowią największy obiekt czysty, jaki kiedykolwiek widziałem. W pięciu halach ukończone są maszyny EUV steppers. Obecny topowy model, NXE: 3400B, waży 180 ton i potrzebuje 20 ciężarówek lub trzech w pełni załadowanych Boeingów 747 do wysyłki. Cena to 120 milionów dolarów. Może przetwarzać 125 płytek na godzinę z rozdzielczością do 13 nm.

w drugiej połowie 2019 roku ogłoszono dostawę zmodernizowanego NXE:3400C. Będzie on wyposażony w elementy optyczne o wyższej transmisji, Modułowy zbiornik zapewniający znacznie szybszą obsługę serwisową oraz szybszą siatkę celowniczą i uchwyt waflowy, aby zwiększyć wydajność. Urządzenia te osiągają przepustowość 170 wafli na godzinę.

pracownicy ASML pracują nad końcowym montażem NXE:3400b bez jego paneli. pracownicy ASML pracują nad końcowym montażem NXE: 3400b bez jego paneli.ASML

co jest po EUV?

odpowiedź brzmi-EUV. Do tej pory EUV optics osiągnęło NA 0,33. Następna generacja (ASML ogłosił maszynę jako NXE Next) będzie miała NA 0,55, co umożliwi rozdzielczość mniejszą niż 8 nm. Obejmuje większą optykę, co wyjaśnia duże i zwiększone wysiłki ZEISS SMT. Zeiss już w tym roku rozpoczął produkcję.

w wyniku tych połączonych wysiłków oczywiste jest, że technologia jest napędzana do swoich fizycznych granic, umożliwiając specyfikacje, które do tej pory nie były możliwe do wyobrażenia. Na przykład wafle wewnątrz systemu litografii są mocowane na specjalnych płytkach szklanych (tak zwane zaciski waflowe). Poruszają się z przyspieszeniami do 3g, utrzymując wafel w miejscu z precyzją do nanometru. Jednocześnie Wafel jest oświetlany światłem EUV przy obciążeniu cieplnym 30 kW / m2 bez utraty dokładnej lokalizacji.

chociaż wciąż dyskutuje się o wielu problemach technicznych, rynek wydaje się bardzo przekonany, że litografia EUV przyniesie znaczne korzyści przemysłowi półprzewodników w dającej się przewidzieć przyszłości.

co jest po high-NA EUV? Jak na razie nie ma żadnej poważnej odpowiedzi. Z jednej strony kilka grup badawczych przygotowuje się do krótszych fal. Dwie instytucje Niemieckiego Towarzystwa Fraunhofera zakończyły w 2016 r. projekt badawczy „Beyond EUV”. Pracowali nad powłokami odblaskowymi (w IOF) i źródłami plazmy (w ILT) dla długości fali 6,7 nm. Szwajcarska grupa podsumowała badania nad fotorezystami w 2015 roku. Ewoluują alternatywne metody nanopatterningu, takie jak stemplowanie lub litografia E-beam. „Wzorcowy plan działania” w 2017 roku był próbą omówienia ich dalszego rozwoju.

ale jeśli spojrzymy na ten rozwój z dystansu, wydaje się, że złożoność technologii litograficznej osiągnęła swoje realne maksimum. To, co ASML i jego sojusznicy budują obecnie w swoich katedrach high-tech, prezentuje największe i najbardziej zaawansowane systemy technologiczne naszych czasów. Chociaż jest to oszałamiający dowód Mistrzostwa naukowego i inżynieryjnego, pozostawia wrażenie, że dalszy znaczny postęp będzie wymagał zupełnie innego podejścia, aby spełnić zwiększone wymagania dotyczące przechowywania i przetwarzania danych.

Dodaj komentarz

Twój adres e-mail nie zostanie opublikowany.