litografia EUV revisited

After three decades of development, a new generation of lithography machines has now been shipped to large computer chip makers. Ele usa luz ultravioleta extrema (EUV) em um comprimento de onda de 13,5 nm para fazer características de silício para alguns nanômetros em tamanho nos chips de memória e processadores de amanhã.

com mais de 100.000 componentes, tal sistema litográfico EUV é uma das máquinas mais complexas já construídas. É bombeado pelo mais poderoso sistema laser já feito na produção em série. No total, pesa 180 toneladas e consome mais de 1 MW de energia elétrica. Custa 120 milhões de dólares e está esgotado há anos.

as fundições grandes têm estado à espera dele há bastante tempo. Agora, as principais questões técnicas são resolvidas graças a uma aliança única entre empresas de alta tecnologia, incluindo Trumpf (Ditzingen, Alemanha), Zeiss (Oberkochen, Alemanha) e ASML (Veldhoven, Países Baixos). Vale a pena dar uma olhada na história desta obra-prima tecnológica, e também pensar sobre o que pode vir a seguir.

porquê EUV?

ultravioleta extrema (às vezes também chamado XUV) denota raios-x macios com comprimentos de onda entre 124 e 10 nm ou energias de fótons entre 10 eV e 124 eV. O sol produz EUV; os seres humanos criam-no através de synchrotrons, ou a partir do plasma.

até agora, os fabricantes de chips têm usado luz ultravioleta (laser) para projetar padrões complexos em bolachas de silício revestidas com fotorresist. Em um processo análogo ao desenvolvimento das antigas fotos de papel, esses padrões são desenvolvidos e se tornam estruturas condutoras ou isoladoras dentro de uma camada. Este processo é repetido até que os sistemas complexos que formam um circuito integrado como um microprocessador estejam completos.

o desenvolvimento de tais sistemas litográficos é impulsionado pela economia: cada vez mais poder de computação e capacidade de armazenamento é necessária, enquanto os custos e consumo de energia devem ser reduzidos. Este desenvolvimento pode ser descrito em uma regra simples, bem conhecida como Lei de Moore, que diz que o número de transistores em um denso circuito integrado duplica a cada dois anos.

uma grande limitação vem das leis da óptica. O físico alemão Ernst Abbe considerou que a resolução de um microscópio d é (aproximadamente) limitado ao comprimento de onda λ da luz utilizado na iluminação:

d = λ/(nsin(α)) (1)

onde n é o índice de refração do meio entre a lente e o objeto e α é a metade do ângulo do objetivo do cone de luz. Para litografia, substituindo abertura numérica (AN) n sin(α) e a adição de um fator k para a fórmula (porque litográficas resolução pode ser fortemente modificado com truques de iluminação), o mínimo viável estrutura ou dimensão crítica (CD), é:

CD = kλ/NA (2)

Esta fórmula, que rege todos os litográficas processos de imagem, torna óbvio que o comprimento de onda é um parâmetro crucial. Como resultado, os engenheiros têm procurado fontes de luz com comprimentos de onda cada vez mais curtos para produzir características cada vez menores. Começando com lâmpadas UV de vapor de mercúrio, moveram-se para lasers excimer com um comprimento de onda de 193 nm. A indústria de litografia recebeu uma surpresa quando a Intel anunciou em maio de 2003 que iria cair o laser excimer 157 nm como um próximo passo e, em vez disso, ir para o EUV em um comprimento de onda de 13,5 nm. Os problemas com materiais ópticos foram vistos como o principal obstáculo, e o EUV parecia apenas a alguns passos de desenvolvimento.

foi relatado na época que o Intel Fellow e diretor das operações litográficas de equipamentos de capital da empresa, Peter Silverman, apresentou um roteiro mostrando EUV a ser implantado para o nó de 32 nm em 2009. Isso acabou por ser muito otimista, e as pessoas tinham que encontrar maneiras de explorar as fontes de 193 nm para alcançar características cada vez menores através de técnicas como litografia de imersão e truques de iluminação sofisticados.

uma fonte luminosa EUV para a indústria

muitos problemas tiveram de ser resolvidos para a litografia EUV; em primeiro lugar, era necessária uma poderosa fonte de luz. No início da década de 2000, fontes de descarga baseadas em plasma (tais como touted by Xtreme Technologies) pareciam mais favoráveis, mas antes de fontes de plasma longas produzidas a laser mostraram que elas eram mais viáveis para o aumento da escala. Grupos no Japão, Europa e EUA trabalharam duro nesta abordagem.

finalmente, a empresa de San Diego Cymer ganhou a corrida com um sistema que usa um laser de CO2 para criar radiação EUV a partir de uma gota de estanho de 30 µm. Enquanto eles promoveram uma fonte de 30 W bastante instável em 2007, foi 2014 quando eles mostraram pela primeira vez como chegar a 250 W, um número que foi considerado como o avanço para a produção de alto volume. Impulsionar a eficiência do processo de conversão do EUV foi uma excelente peça de investigação aplicada que tornou a litografia EUV viável, afinal. Para permitir um progresso mais rápido (e garantir seu único fornecedor), a ASML comprou Cymer em 2012.

a solução final para produzir radiação EUV suficiente para a viabilidade comercial é uma máquina que impressiona até mesmo especialistas em laser temperados. Ele é baseado no laser mais poderoso que já foi construído na produção em série: um laser de CO2 de 40 kW. Todo o sistema precisa de uma fonte de alimentação de 1 MW. Como apenas a pequena fração de 200 W de potência é usada para o processamento da bolacha, o resfriamento é uma questão importante.

o único fornecedor desta tecnologia é TRUMPF em Ditzingen, Alemanha. Trumpf proprietário e CTO Peter Leibinger está bem ciente do papel de sua empresa: “se falharmos, a lei de Moore vai descontinuar. Claro que o mundo não depende do TRUMPF, mas sem o TRUMPF, a indústria de chips não poderia fazê-lo”, disse ele em uma entrevista em 2017.Lasers de CO2 típicos de TRUMPF fornecem alguns quilowatts de radiação de onda contínua (CW). Isto é perfeito para cortar aço. Para o EUV, TRUMPF desenvolveu um laser que produz 40 kW de radiação pulsada a uma taxa de repetição de 50 kHz. O laser, com as suas duas semeadoras e quatro fases de amplificação, é tão grande que tem de ser colocado num piso separado por baixo da máquina EUV.Peter Leibinger, CTO of TRUMPF, mostra o laser de 40 kW de CO2 que bombeia o gerador EUV.Peter Leibinger, CTO of TRUMPF, mostra o laser de 40 kW de CO2 que bombeia o gerador EUV.TRUMPF

para acompanhar a demanda do mercado, TRUMPF investiu fortemente em uma fábrica inteiramente nova com 10 Baías de produção apenas para estes lasers. Com 10 semanas para juntá-los, a empresa agora tem uma capacidade de 50 sistemas por ano. No momento da escrita, 44 sistemas estavam em campo, com um total de 30 embarques previstos em 2019.Nota-A resolução do NXE: 3400 sistemas é de cerca de 13 nm; isto se refere à Fórmula (2) e ao passo real da porta. Isto é muito diferente dos” nós ” frequentemente discutido os fabricantes de chips. Originalmente, os nós se referiam ao comprimento da porta de um transistor. Obviamente, isso pode diferir em função do processo e, portanto, do fabricante. Hoje, no entanto, o nó apenas se refere a um determinado processo desenvolvido por um fabricante de chips e não corresponde diretamente à resolução da óptica. Por exemplo, os fabricantes de chips utilizam nós de 7 nm ou 3 nm que se referem aos seus processos proprietários utilizando máquinas EUV semelhantes.no interior de NXE:3400-o caminho de luz óptica completa com a fonte EUV na parte inferior direita e a máscara no topo.dentro de NXE:3400-o caminho de luz ótica completa com a fonte EUV no canto inferior direito e a máscara no topo.ASML

Cooperation is the key

While more than 1000 suppliers are involved overall, the core technology is made by Trumpf, Zeiss, and ASML. Desenvolveram formas de cooperação pouco convencionais no âmbito do projecto EUV. Peter Leibinger de Trumpf chamou-a de “empresa virtualmente fundida” com uma política de livro aberto e uma extensa troca de pessoas e know-how.

Zeiss SMT tem uma longa história com a ASML, uma vez que a empresa produziu a sua primeira óptica litográfica para a Philips em 1983.; esse negócio foi desmembrado em 1984 e nomeado ASML.Juntos, Zeiss e ASML conquistaram o mercado de sistemas litográficos bem antes da EUV. Em 2010, eles já tinham cerca de 75% de Market share para sistemas de litografia. Até agora, são os únicos fornecedores de sistemas EUV de qualidade industrial. Para promover a relação, a ASML comprou uma participação de 24,9% na Zeiss SMT por cerca de mil milhões de Euros em novembro de 2016. Além disso, a ASML prometeu apoiar os esforços de R&D da Zeiss SMT por seis anos, com 220 milhões de Euros mais alguns investimentos de apoio de 540 milhões de Euros.

esse dinheiro era muito necessário, como Zeiss estava investindo pesadamente em EUV. A empresa ergueu salões para a fabricação e metrologia perto de Oberkochen, Alemanha; atualmente, está completando a preparação para a próxima geração de óptica EUV com mais NA—mais 700 milhões de euros de investimento. Isto inclui câmaras de alto vácuo do tamanho de um caminhão para metrologia dos sistemas ópticos. As superfícies espelhadas que são testadas nestas câmaras têm uma tolerância máxima de 0,5 nm, por isso usam a mais precisa Tecnologia de alinhamento e metrologia já construída na indústria.

montagem Final de uma ferramenta de 180 toneladas

Zeiss SMT tem uma instalação de alta tecnologia gigante, mas é superado em tamanho pelos salões de fabricação nas instalações de Veldhoven de ASML. Se fores à Cafetaria, podes sentir que estás num campus na Califórnia. Muitos jovens de todas as nações se reúnem e bebem seus lattes. Este ambiente pode refletir o fato de que a ASML teve que contratar rapidamente novos funcionários para acompanhar o seu rápido crescimento. Em 2018 sua equipe cresceu 21%, empregando agora mais de 800 PhDs e mais de 7500 engenheiros em uma força de trabalho total de 23.000.

os edifícios por trás do refeitório compreendem as maiores instalações de limpeza que já vi. Em cinco por cinco salas, as máquinas EUV steppers são completadas. O atual modelo de topo, o NXE:3400B, pesa 180 toneladas e precisa de 20 caminhões ou três Boeing 747 totalmente carregados para embarque. O preço é 120 milhões de dólares. Ele pode processar 125 wafers por hora com uma resolução de até 13 nm.

na segunda metade de 2019, o envio de um NXE atualizado:3400C foi anunciado. Ele contará com elementos ópticos de maior transmissão, um recipiente modular para uma utilização significativamente mais rápida, e um tratador de retículos e wafer mais rápido para suportar o aumento da produtividade. Estes dispositivos atingem 170 wafers por hora.

os empregados da ASML trabalham na montagem final de um NXE:3400B sem os seus painéis.Os funcionários da ASML trabalham na montagem final de um NXE:3400B sem seus painéis.ASML

o que vem depois do EUV?

a resposta é-EUV. Até agora, a óptica EUV atingiu um NA de 0,33. A próxima geração (ASML anunciou a máquina como NXE Next) terá uma NA de 0,55, permitindo uma resolução de menos de 8 nm. Compreende ótica maior, o que explica os grandes e maiores esforços na ZEISS SMT. Zeiss já começou a produção este ano. Como resultado destes esforços combinados, é claro que a tecnologia é levada aos seus limites físicos, permitindo especificações que até então não eram imagináveis. Por exemplo, os wafers dentro do sistema litográfico são mantidos em placas de vidro especiais (as chamadas grampos de wafer). Movem-se a acelerações até 3g, mantendo a bolacha no lugar com precisão até um nanómetro. Ao mesmo tempo, a bolacha é iluminada pela luz EUV a uma carga de calor de 30 kW/m2 sem perder a sua localização precisa.Apesar de ainda estarem em discussão alguns problemas técnicos, o mercado parece muito confiante de que a litografia EUV proporcionará um benefício substancial para a indústria de semicondutores num futuro previsível.

What comes after high-NA EUV? Até agora, parece ainda não haver uma resposta séria. Por um lado, vários grupos de pesquisa estão se preparando para comprimentos de onda mais curtos. Duas instituições da Sociedade Alemã Fraunhofer completaram um projeto de pesquisa sobre “Beyond EUV” em 2016. Eles trabalharam em revestimentos refletivos (at IOF) e fontes de plasma (at ILT) para um comprimento de onda de 6,7 nm. Um grupo suíço resumiu pesquisas fotorresist em 2015. Estão a evoluir métodos alternativos de nanopaterização, como a estampagem ou a litografia de feixes electrónicos. Um “roteiro padrão” em 2017 foi uma tentativa de discutir o seu futuro desenvolvimento.

mas se olharmos para este desenvolvimento à distância, parece que a complexidade da tecnologia litográfica atingiu o seu máximo viável. O que a ASML e seus aliados atualmente constroem em suas catedrais de alta tecnologia mostra os maiores e mais avançados sistemas tecnológicos de nosso tempo. Embora se trate de provas impressionantes do domínio científico e da engenharia, deixa a impressão de que novos progressos substanciais exigirão uma abordagem completamente diferente para satisfazer exigências acrescidas de armazenamento e processamento de dados.

Deixe uma resposta

O seu endereço de email não será publicado.