Litografía EUV revisitada

Después de tres décadas de desarrollo, se ha enviado una nueva generación de máquinas de litografía a grandes fabricantes de chips de computadora. Utiliza luz ultravioleta extrema (EUV) a una longitud de onda de 13,5 nm para crear características de silicio de hasta unos pocos nanómetros de tamaño en los chips de memoria y procesadores del mañana.

Con más de 100.000 componentes, este sistema de litografía EUV es una de las máquinas más complejas jamás construidas. Es bombeado por el sistema láser más potente jamás fabricado en producción en serie. En total, pesa 180 toneladas y consume más de 1 MW de energía eléctrica. Cuesta 120 millones de dólares y se vende durante años.

Las grandes fundiciones lo han estado esperando durante bastante tiempo. Ahora, los principales problemas técnicos se resuelven gracias a una alianza única entre empresas de alta tecnología, incluidas Trumpf (Ditzingen, Alemania), Zeiss (Oberkochen, Alemania) y ASML (Veldhoven, Países Bajos). Vale la pena echar un vistazo a la historia de esta obra maestra tecnológica, y también pensar en lo que podría venir a continuación.

¿Por qué EUV?

Ultravioleta extremo (a veces también llamado XUV) denota rayos X suaves con longitudes de onda entre 124 y 10 nm o energías de fotones entre 10 eV y 124 eV. El sol produce VUE; los humanos lo crean a través de sincrotrones, o a partir de plasma.

Hasta ahora, los fabricantes de chips han utilizado luz ultravioleta (láser) para proyectar patrones complejos en obleas de silicio recubiertas con fotorresistencia. En un proceso análogo al desarrollo de las fotos de papel antiguas, estos patrones se desarrollan y se convierten en estructuras conductoras o aislantes dentro de una capa. Este proceso se repite hasta que se completan los sistemas complejos que forman un circuito integrado, como un microprocesador.

El desarrollo de estos sistemas litográficos se basa en la economía: se necesita cada vez más potencia de cálculo y capacidad de almacenamiento, mientras que se deben reducir los costos y el consumo de energía. Este desarrollo se puede describir en una regla simple, conocida como la ley de Moore, que dice que el número de transistores en un circuito integrado denso se duplica aproximadamente cada dos años.

Una limitación importante proviene de las leyes de la óptica. El físico alemán Ernst Abbe descubrió que la resolución de un microscopio d está (aproximadamente) limitada a la longitud de onda λ de la luz utilizada en la iluminación:

d = λ / (nsin (α)) (1)

donde n es el índice de refracción del medio entre la lente y el objeto y α es el medio ángulo del cono de luz del objetivo. Para litografía, sustituyendo apertura numérica (NA) por n sin(α) y añadiendo un factor k a la fórmula (porque la resolución litográfica se puede ajustar fuertemente con trucos de iluminación), la estructura mínima factible, o dimensión crítica (CD), es:

CD = kλ/NA (2)

Esta fórmula, que gobierna todos los procesos de imágenes litográficas, hace obvio por qué la longitud de onda es un parámetro tan crucial. Como resultado, los ingenieros han estado buscando fuentes de luz con longitudes de onda cada vez más cortas para producir características cada vez más pequeñas. Comenzando con lámparas de vapor de mercurio UV, pasaron a láseres excimer con una longitud de onda de 193 nm. La industria de la litografía recibió una sorpresa cuando Intel anunció en mayo de 2003 que abandonaría el láser excimer de 157 nm como siguiente paso y en su lugar optaría por EUV a una longitud de onda de 13,5 nm. Los problemas con los materiales ópticos se vieron como el principal obstáculo, y el VUE parecía estar a solo unos pasos de desarrollo.

Se informó en ese momento que el compañero de Intel y director de operaciones de equipos de capital de litografía de la compañía, Peter Silverman, presentó una hoja de ruta que muestra el VUE que se implementará para el nodo de 32 nm en 2009. Eso resultó ser demasiado optimista, y la gente tuvo que encontrar formas de explotar las fuentes de 193 nm para lograr características cada vez más pequeñas a través de técnicas como la litografía de inmersión y sofisticados trucos de iluminación.

Una fuente de luz EUV para la industria

Muchos problemas han tenido que resolverse para la litografía EUV; en primer lugar, se necesitaba una fuente de luz potente. A principios de la década de 2000, las fuentes basadas en plasma de descarga (como las promocionadas por Xtreme Technologies) parecían más favorables, pero en poco tiempo las fuentes de plasma producidas por láser mostraron que eran más viables para la ampliación de escala. Grupos en Japón, Europa y Estados Unidos trabajaron arduamente en este enfoque.

Finalmente, la empresa Cymer, con sede en San Diego, ganó la carrera con un sistema que utiliza un láser de CO2 para crear radiación EUV a partir de una gota de estaño de 30 µm. Si bien promovieron una fuente de 30 W bastante inestable en 2007, fue en 2014 cuando mostraron por primera vez cómo llegar a 250 W, un número que fue considerado como el avance para la producción de alto volumen. Impulsar la eficiencia del proceso de conversión de VUE fue una maravillosa investigación aplicada que hizo viable la litografía de VUE después de todo. Para permitir un progreso más rápido (y asegurar a su único proveedor), ASML compró Cymer en 2012.

La solución final para producir suficiente radiación EUV para la viabilidad comercial es una máquina que impresiona incluso a expertos en láser experimentados. Se basa en el láser más potente jamás construido en la producción en serie: un láser de CO2 de 40 kW. Todo el sistema necesita una fuente de alimentación de 1 MW. Como solo la pequeña fracción de 200 W de potencia se utiliza para procesar la oblea, el enfriamiento es un problema importante.

El único proveedor de esta tecnología es TRUMPF en Ditzingen, Alemania. Peter Leibinger, director de tecnología y propietario de Trumpf, es muy consciente del papel de su empresa: «Si fracasamos, la ley de Moore se suspenderá. Por supuesto, el mundo no depende de TRUMPF, pero sin TRUMPF, la industria de los chips no podría hacerlo», dijo en una entrevista en 2017.

Los láseres de CO2 típicos de TRUMPF emiten algunos kilovatios de radiación de onda continua (CW). Esto es perfecto para cortar acero. Para EUV, TRUMPF desarrolló un láser que produce 40 kW de radiación pulsada a una tasa de repetición de 50 kHz. El láser, con sus dos sembradoras y cuatro etapas de amplificación, es tan grande que tiene que colocarse en un piso separado debajo de la máquina EUV. Peter Leibinger, CTO de TRUMPF, muestra el láser de CO2 de 40 kW que bombea el generador EUV. Peter Leibinger, CTO de TRUMPF, muestra el láser de CO2 de 40 kW que bombea el generador EUV.TRUMPF

Para seguir el ritmo de la demanda del mercado, TRUMPF ha invertido mucho en una fábrica completamente nueva con 10 compartimentos de producción solo para estos láseres. Con 10 semanas para ensamblarlos, la compañía ahora tiene una capacidad de 50 sistemas por año. En el momento de redactar este informe, había 44 sistemas en el campo, con un total de 30 envíos previstos para 2019.

Nota: La resolución de los sistemas NXE:3400 es de aproximadamente 13 nm; esto se refiere a la fórmula (2) y al paso de puerta real. Esto es muy diferente de los «nodos» a menudo discutidos por los fabricantes de chips. Originalmente, los nodos se referían a la longitud de la puerta de un transistor. Obviamente, esto puede diferir en función del proceso y, por lo tanto, del fabricante. Hoy en día, sin embargo, el nodo solo se refiere a un determinado proceso desarrollado por un fabricante de chips y no se corresponde directamente con la resolución de la óptica. Por ejemplo, los fabricantes de chips promocionan nodos de 7 nm o 3 nm que se refieren a sus procesos propietarios que utilizan máquinas EUV similares. Dentro de NXE: 3400: la trayectoria de luz óptica completa con la fuente EUV en la parte inferior derecha y la máscara en la parte superior. Dentro de NXE:3400 – la trayectoria de luz óptica completa con la fuente EUV en la parte inferior derecha y la máscara en la parte superior.ASML

La cooperación es la clave

Mientras que más de 1000 proveedores están involucrados en general, la tecnología principal está hecha por Trumpf, Zeiss y ASML. Desarrollaron formas de cooperación bastante poco convencionales en el proyecto EUV. Peter Leibinger de Trumpf la llamó una «empresa prácticamente fusionada» con una política de libro abierto y un amplio intercambio de personas y conocimientos.

Zeiss SMT tiene una larga historia con ASML, ya que la compañía produjo su primera óptica litográfica para Philips en 1983; ese negocio se separó en 1984 y se llamó ASML.

Juntos, Zeiss y ASML conquistaron el mercado de los sistemas de litografía mucho antes de EUV. En 2010, ya tenían una cuota de mercado de aproximadamente el 75% de los sistemas de litografía. Hasta ahora, son los únicos proveedores de sistemas EUV de calidad industrial. Para fomentar la relación, ASML compró una participación del 24,9% en Zeiss SMT por aproximadamente mil millones de euros en noviembre de 2016. Además, ASML prometió apoyar los esfuerzos R&D de Zeiss SMT durante seis años con 220 millones de euros más algunas inversiones de 540 millones de euros.

Ese dinero era muy necesario, ya que Zeiss estaba invirtiendo fuertemente en EUV. La compañía construyó naves para fabricación y metrología cerca de Oberkochen, Alemania; actualmente, está completando la preparación para la próxima generación de ópticas EUV con mayor NA, otra inversión de 700 millones de euros. Esto incluye cámaras de alto vacío del tamaño de un camión para metrología de sistemas ópticos. Las superficies de espejo que se prueban en estas cámaras tienen una tolerancia máxima de 0,5 nm, por lo que utilizan la tecnología de alineación y metrología más precisa jamás construida en la industria.

Montaje final de una herramienta de 180 toneladas

Zeiss SMT tiene una instalación gigante de alta tecnología, pero está rematada en tamaño por las salas de fabricación en las instalaciones de Veldhoven de ASML. Si vas a la cafetería, es posible que sientas que estás en un campus en California. Muchos jóvenes de todas las naciones se reúnen y beben sus cafés con leche. Este entorno podría reflejar el hecho de que ASML ha tenido que contratar rápidamente personal nuevo para mantener el ritmo de su rápido crecimiento. En 2018, su personal creció un 21%, y ahora emplea a más de 800 doctores y más de 7500 ingenieros en una plantilla total de 23,000.

Los edificios detrás de la cafetería comprenden la instalación de sala blanca más grande que haya visto. En cinco por cinco salas, se completan las máquinas steppers de EUV. El modelo superior actual, el NXE: 3400B, pesa 180 toneladas y necesita 20 camiones o tres Boeing 747 completamente cargados para su envío. El precio es de 120 millones de dólares. Puede procesar 125 obleas por hora con una resolución de hasta 13 nm.

En la segunda mitad de 2019, se anunció el envío de un NXE:3400C actualizado. Contará con elementos ópticos de mayor transmisión, un recipiente modular para un servicio significativamente más rápido y un manipulador de retículas y obleas más rápido para soportar una mayor productividad. Estos dispositivos alcanzan un rendimiento de 170 obleas por hora.

 Los empleados de ASML trabajan en el montaje final de un NXE:3400B sin sus paneles. Los empleados de ASML trabajan en el montaje final de un NXE:3400B sin sus paneles.ASML

¿Qué viene después de EUV?

La respuesta es-EUV. Hasta ahora, la óptica de EUV ha alcanzado un NA de 0,33. La próxima generación (ASML anunció la máquina como NXE Next) tendrá un NA de 0,55, lo que permitirá una resolución de menos de 8 nm. Comprende ópticas más grandes, lo que explica los grandes y crecientes esfuerzos en ZEISS SMT. Zeiss ya ha comenzado la producción este año.

Como resultado de estos esfuerzos combinados, está claro que la tecnología está impulsada a sus límites físicos, lo que permite especificaciones que hasta ahora no eran imaginables. Por ejemplo, las obleas dentro del sistema de litografía se sujetan en placas de vidrio especiales (las llamadas abrazaderas de obleas). Se mueven a aceleraciones de hasta 3g, manteniendo la oblea en su lugar con una precisión de hasta un nanómetro. Al mismo tiempo, la oblea se ilumina con luz EUV a una carga de calor de 30 kW/m2 sin perder su ubicación precisa.

Aunque todavía se están debatiendo una serie de problemas técnicos, el mercado parece estar muy seguro de que la litografía de VUE ofrecerá un beneficio sustancial para la industria de los semiconductores en un futuro previsible.

¿Qué viene después de un VUE de alta NA? Hasta ahora, no parece haber una respuesta seria todavía. Por un lado, varios grupos de investigación se están preparando para longitudes de onda más cortas. Dos instituciones de la Sociedad alemana Fraunhofer completaron un proyecto de investigación sobre «Beyond EUV» en 2016. Trabajaron en recubrimientos reflectantes (en IOF) y fuentes de plasma (en ILT) para una longitud de onda de 6,7 nm. Un grupo suizo resumió la investigación fotorresistente en 2015. Los métodos alternativos de nanopatterning, como el estampado o la litografía de haz electrónico, están evolucionando. Una «hoja de ruta de patrones» en 2017 fue un intento de discutir su desarrollo posterior.

Pero si miramos este desarrollo desde la distancia, parece que la complejidad de la tecnología litográfica ha alcanzado su máximo viable. Lo que ASML y sus aliados construyen actualmente en sus catedrales de alta tecnología muestra los sistemas tecnológicos más grandes y avanzados de nuestro tiempo. Si bien esta es una prueba impresionante de dominio científico y de ingeniería, deja la impresión de que un mayor progreso sustancial requerirá un enfoque completamente diferente para satisfacer los mayores requisitos de almacenamiento y procesamiento de datos.

Deja una respuesta

Tu dirección de correo electrónico no será publicada.