EUV lithography revisited

Dopo tre decenni di sviluppo, una nuova generazione di macchine litografiche è stata ora spedita ai grandi produttori di chip per computer. Utilizza la luce ultravioletta estrema (EUV) a una lunghezza d’onda di 13,5 nm per rendere le caratteristiche del silicio fino a pochi nanometri di dimensioni sui chip di memoria e sui processori di domani.

Con più di 100.000 componenti, un tale sistema litografico EUV è una delle macchine più complesse mai costruite. Viene pompato dal più potente sistema laser mai realizzato in produzione seriale. In totale, pesa 180 tonnellate e consuma più di 1 MW di energia elettrica. Costa million 120 milioni ed è esaurito per anni.

Le grandi fonderie lo aspettavano da un bel po ‘ di tempo. Ora, i principali problemi tecnici sono risolti grazie a un’alleanza unica tra aziende high-tech tra cui Trumpf (Ditzingen, Germania), Zeiss (Oberkochen, Germania) e ASML (Veldhoven, Paesi Bassi). Vale la pena dare un’occhiata alla storia di questo capolavoro tecnologico e anche pensare a cosa potrebbe venire dopo.

Perché EUV?

L’ultravioletto estremo (a volte chiamato anche XUV) denota raggi X morbidi con lunghezze d’onda tra 124 e 10 nm o energie fotoniche tra 10 eV e 124 eV. Il sole produce EUV; gli esseri umani creano attraverso sincrotroni, o dal plasma.

Fino ad ora, i produttori di chip hanno utilizzato la luce ultravioletta (laser) per proiettare modelli complessi su wafer di silicio rivestiti con fotoresist. In un processo analogo allo sviluppo delle vecchie foto di carta, questi modelli vengono sviluppati e diventano strutture di conduzione o isolamento all’interno di uno strato. Questo processo viene ripetuto fino al completamento dei sistemi complessi che formano un circuito integrato come un microprocessore.

Lo sviluppo di tali sistemi litografici è guidato dall’economia: sono necessarie sempre più potenza di calcolo e capacità di archiviazione, mentre i costi e i consumi devono essere ridotti. Questo sviluppo può essere descritto in una semplice regola, ben nota come legge di Moore, che dice che il numero di transistor in un circuito integrato denso raddoppia circa ogni due anni.

Una limitazione importante deriva dalle leggi dell’ottica. Fisico tedesco Ernst Abbe trovato che la risoluzione di un microscopio d è (approssimativamente) limitata alla lunghezza d’onda λ della luce utilizzata per l’illuminazione:

d = λ/(wisconsin(α)) (1)

dove n è l’indice di rifrazione del mezzo tra la lente e l’oggetto e α è la metà dell’angolo dell’obiettivo del cono di luce. Per la litografia, sostituendo l’apertura numerica (NA) per n sin(α) e l’aggiunta di un fattore k per la formula (perché litografica, la risoluzione può essere fortemente modificato con illuminazione trucchi), il minimo fattibile struttura o dimensione critica (CD), è:

CD = kλ/NA (2)

Questa formula, che governa la litografica i processi di imaging, rende evidente perché la lunghezza d’onda è un parametro cruciale. Di conseguenza, gli ingegneri sono stati alla ricerca di sorgenti luminose con lunghezze d’onda sempre più brevi per produrre caratteristiche sempre più piccole. A partire dalle lampade UV a vapori di mercurio, si sono spostati su laser ad eccimeri con una lunghezza d’onda di 193 nm. L’industria litografica ha ricevuto una sorpresa quando Intel ha annunciato nel maggio 2003 che avrebbe abbandonato il laser ad eccimeri 157 nm come passo successivo e invece andare per EUV a una lunghezza d’onda di 13,5 nm. Problemi con materiali ottici sono stati visti come il principale ostacolo, e EUV sembrava a pochi passi di sviluppo di distanza.

È stato riferito in quel momento che Intel Fellow e direttore delle operazioni di litografia capital equipment della società, Peter Silverman, ha presentato una tabella di marcia che mostra EUV da implementare per il nodo 32-nm in 2009. Che si è rivelato essere di gran lunga troppo ottimista, e la gente ha dovuto trovare il modo di sfruttare le sorgenti 193 nm per ottenere caratteristiche sempre più piccole attraverso tecniche come litografia ad immersione e sofisticati trucchi di illuminazione.

Una sorgente luminosa EUV per l’industria

Molti problemi hanno dovuto essere risolti per la litografia EUV; prima di tutto, era necessaria una potente fonte di luce. Nei primi anni 2000, le fonti di plasma a scarica (come quelle propagandate da Xtreme Technologies) apparivano più favorevoli, ma in poco tempo le fonti di plasma prodotte dal laser mostravano che erano più praticabili per l’upscaling. Gruppi in Giappone, Europa e Stati Uniti hanno lavorato duramente su questo approccio.

Infine, la società con sede a San Diego Cymer ha vinto la gara con un sistema che utilizza un laser a CO2 per creare radiazioni EUV da una goccia di stagno da 30 µm. Mentre hanno promosso una fonte di 30 W piuttosto instabile in 2007, era 2014 quando hanno mostrato per la prima volta come arrivare a 250 W, un numero che è stato considerato la svolta per la produzione di grandi volumi. Aumentare l’efficienza del processo di conversione EUV è stato un meraviglioso lavoro di ricerca applicata che ha reso praticabile la litografia EUV dopo tutto. Per consentire progressi più rapidi (e per garantire il suo unico fornitore), ASML ha acquistato Cymer nel 2012.

La soluzione finale per fare appena sufficiente radiazione EUV per la vitalità commerciale è una macchina che impressiona anche esperti laser esperti. Si basa sul laser più potente mai costruito nella produzione in serie: un laser a CO2 da 40 kW. L’intero sistema necessita di un alimentatore da 1 MW. Poiché solo la piccola frazione di 200 W di potenza viene utilizzata per la lavorazione del wafer, il raffreddamento è un problema importante.

L’unico fornitore di questa tecnologia è TRUMPF a Ditzingen, Germania. Il proprietario e CTO di Trumpf Peter Leibinger è ben consapevole del ruolo della sua azienda: “Se falliamo, la legge di Moore interromperà. Certo, il mondo non dipende da TRUMPF, ma senza TRUMPF, l’industria dei chip non potrebbe farlo”, ha detto in un’intervista nel 2017.

I tipici laser a CO2 di TRUMPF forniscono alcuni kilowatt di radiazione a onda continua (CW). Questo è giusto per tagliare l’acciaio. Per EUV, TRUMPF ha sviluppato un laser che produce 40 kW di radiazione pulsata a una frequenza di ripetizione di 50 kHz. Il laser, con le sue due seminatrici e quattro stadi di amplificazione, è così grande che deve essere posizionato su un piano separato sotto la macchina EUV. Peter Leibinger, CTO di TRUMPF, mostra il laser a CO2 da 40 kW che pompa il generatore EUV. Peter Leibinger, CTO di TRUMPF, mostra il laser a CO2 da 40 kW che pompa il generatore EUV.TRUMPF

Per tenere il passo con la domanda del mercato, TRUMPF ha investito molto in una fabbrica completamente nuova con 10 baie di produzione solo per questi laser. Con 10 settimane per metterli insieme, l’azienda ha ora una capacità di 50 sistemi all’anno. Al momento della scrittura, i sistemi 44 erano in campo con un totale di spedizioni 30 previste in 2019.

Nota-La risoluzione dei sistemi NXE:3400 è di circa 13 nm; questo si riferisce alla formula (2) e al passo di gate effettivo. Questo è molto diverso dai “nodi” spesso discussi dai produttori di chip. Originariamente, i nodi si riferivano alla lunghezza del gate di un transistor. Ovviamente, questo può differire in funzione del processo e, quindi, del produttore. Oggi, tuttavia, il nodo si riferisce solo a un certo processo sviluppato da un produttore di chip e non corrisponde direttamente alla risoluzione dell’ottica. Ad esempio, i produttori di chip tout 7 nm o 3 nodi nm riferendosi ai loro processi proprietari utilizzando macchine EUV simili. Inside NXE: 3400-il percorso ottico completo della luce con la sorgente EUV in basso a destra e la maschera in alto.All’interno di NXE:3400-il percorso ottico completo della luce con la sorgente EUV in basso a destra e la maschera in alto.ASML

La cooperazione è la chiave

Mentre più di 1000 fornitori sono coinvolti nel complesso, la tecnologia di base è fatta da Trumpf, Zeiss, e ASML. Hanno sviluppato forme di cooperazione piuttosto non convenzionali nel progetto EUV. Peter Leibinger di Trumpf l’ha definita una “società virtualmente fusa” con una politica di libri aperti e un ampio scambio di persone e know-how.

Zeiss SMT ha una lunga storia con ASML, in quanto l’azienda ha prodotto la sua prima ottica litografica per Philips nel 1983; tale attività è stata scorporata nel 1984 e denominata ASML.

Insieme, Zeiss e ASML conquistarono il mercato dei sistemi litografici ben prima dell’EUV. Nel 2010, avevano già circa il 75% di quota di mercato per i sistemi di litografia. Finora, sono gli unici fornitori di sistemi EUV di livello industriale. Per favorire la relazione, ASML ha acquistato una partecipazione del 24,9% in Zeiss SMT per circa un miliardo di euro a novembre 2016. Inoltre, ASML ha promesso di sostenere gli sforzi di Zeiss SMT R&D per sei anni con 220 milioni di euro più un sostegno agli investimenti di 540 milioni di euro.

Quel denaro era molto necessario, poiché Zeiss stava investendo pesantemente in EUV. L’azienda ha costruito sale per la produzione e la metrologia vicino a Oberkochen, in Germania; attualmente sta completando la preparazione per la prossima generazione di ottiche EUV con NA superiore—un altro investimento di 700 milioni di euro. Questo include camere ad alto vuoto delle dimensioni di un camion per la metrologia dei sistemi ottici. Le superfici a specchio che vengono testate in queste camere hanno una tolleranza massima di 0,5 nm, quindi utilizzano la tecnologia di allineamento e metrologia più precisa mai costruita nell’industria.

Assemblaggio finale di uno strumento da 180 tonnellate

Zeiss SMT ha una gigantesca struttura high-tech, ma è sovrastata dalle sale di produzione presso gli stabilimenti di Veldhoven di ASML. Se si va lì nella caffetteria, si può sentire come se foste in un campus in California. Molti giovani di tutte le nazioni si riuniscono intorno e sorseggiano i loro lattes. Questo ambiente potrebbe riflettere il fatto che ASML ha dovuto assumere rapidamente nuovo personale per tenere il passo con la loro rapida crescita. In 2018 il loro personale è cresciuto 21%, ora impiegando più di 800 dottorati di ricerca e più di 7500 ingegneri in una forza lavoro totale di 23,000.

Gli edifici dietro la caffetteria comprendono la più grande struttura per camere bianche che abbia mai visto. In cinque per cinque sale, le macchine stepper EUV sono completate. L’attuale modello di punta, l’NXE: 3400B, pesa 180 tonnellate e ha bisogno di 20 camion o tre Boeing 747 a pieno carico per la spedizione. Il prezzo è di million 120 milioni. Può elaborare 125 wafer all’ora con una risoluzione fino a 13 nm.

Nella seconda metà del 2019 è stata annunciata la spedizione di un NXE:3400C aggiornato. Sarà caratterizzato da elementi ottici a trasmissione più elevata, un recipiente modulare per una manutenzione significativamente più rapida e un gestore di reticolo e wafer più veloce per supportare una maggiore produttività. Questi dispositivi raggiungono il throughput di 170 wafer all’ora.

 I dipendenti ASML lavorano all'assemblaggio finale di un NXE:3400B senza i suoi pannelli. I dipendenti ASML lavorano all’assemblaggio finale di un NXE:3400B senza i suoi pannelli.ASML

Cosa viene dopo EUV?

La risposta è-EUV. Finora, l’ottica EUV ha raggiunto un NA di 0.33. La prossima generazione (ASML ha annunciato la macchina come NXE Next) avrà un NA di 0.55, consentendo una risoluzione inferiore a 8 nm. Comprende ottiche più grandi, il che spiega i grandi e maggiori sforzi di ZEISS SMT. Zeiss ha già iniziato la produzione quest’anno.

Come risultato di questi sforzi combinati, è chiaro che la tecnologia è guidata ai suoi limiti fisici, consentendo specifiche che finora non erano immaginabili. Ad esempio, i wafer all’interno del sistema di litografia sono tenuti su speciali lastre di vetro (i cosiddetti morsetti per wafer). Si muovono con accelerazioni fino a 3g, mantenendo il wafer in posizione con precisione fino a un nanometro. Allo stesso tempo, il wafer viene illuminato dalla luce EUV con un carico termico di 30 kW/m2 senza perdere la sua posizione precisa.

Sebbene siano ancora in discussione una serie di problemi tecnici, il mercato sembra molto fiducioso che la litografia EUV offrirà un vantaggio sostanziale per l’industria dei semiconduttori nel prossimo futuro.

Cosa viene dopo high-NA EUV? Finora, non sembra esserci ancora una risposta seria. Da un lato, diversi gruppi di ricerca si stanno preparando per lunghezze d’onda più corte. Due istituzioni della Società tedesca Fraunhofer hanno completato un progetto di ricerca su “Beyond EUV” nel 2016. Hanno lavorato su rivestimenti riflettenti (a IOF) e sorgenti di plasma (a ILT) per una lunghezza d’onda di 6,7 nm. Un gruppo svizzero ha riassunto la ricerca fotoresist nel 2015. Metodi alternativi di nanopatterning come stampaggio o litografia e-beam si stanno evolvendo. Una “roadmap di patterning” in 2017 è stato un tentativo di discutere il loro ulteriore sviluppo.

Ma se guardiamo a questo sviluppo da lontano, sembra che la complessità della tecnologia litografica abbia raggiunto il suo massimo vitale. Ciò che ASML e i suoi alleati attualmente costruiscono nelle loro cattedrali high-tech mette in mostra i sistemi tecnologici più grandi e avanzati del nostro tempo. Sebbene questa sia una prova sbalorditiva della padronanza scientifica e ingegneristica, lascia l’impressione che ulteriori progressi sostanziali richiederanno un approccio completamente diverso per soddisfare i maggiori requisiti di archiviazione ed elaborazione dei dati.

Lascia un commento

Il tuo indirizzo email non sarà pubblicato.