Lithographie EUV revisitée

Après trois décennies de développement, une nouvelle génération de machines de lithographie est désormais livrée aux grands fabricants de puces informatiques. Il utilise une lumière ultraviolette extrême (EUV) à une longueur d’onde de 13,5 nm pour faire des caractéristiques de silicium jusqu’à quelques nanomètres de taille sur les puces mémoire et les processeurs de demain.

Avec plus de 100 000 composants, un tel système de lithographie EUV est l’une des machines les plus complexes jamais construites. Il est pompé par le système laser le plus puissant jamais fabriqué en série. Au total, il pèse 180 tonnes et consomme plus de 1 MW d’énergie électrique. Il coûte 120 millions de dollars et est épuisé depuis des années.

Les grandes fonderies l’attendent depuis un bon moment. Aujourd’hui, des problèmes techniques majeurs sont résolus grâce à une alliance unique entre des entreprises de haute technologie telles que Trumpf (Ditzingen, Allemagne), Zeiss (Oberkochen, Allemagne) et ASML (Veldhoven, Pays-Bas). Il vaut la peine de jeter un coup d’œil à l’histoire de ce chef-d’œuvre technologique et de réfléchir à ce qui pourrait suivre.

Pourquoi l’EUV?

L’ultraviolet extrême (parfois aussi appelé XUV) désigne des rayons X mous avec des longueurs d’onde comprises entre 124 et 10 nm ou des énergies de photons comprises entre 10 eV et 124 eV. Le soleil produit de l’EUV; les humains le créent grâce à des synchrotrons ou à partir de plasma.

Jusqu’à présent, les fabricants de puces utilisaient la lumière ultraviolette (laser) pour projeter des motifs complexes sur des plaquettes de silicium recouvertes de résine photosensible. Dans un processus analogue au développement des anciennes photos sur papier, ces motifs sont développés et deviennent des structures conductrices ou isolantes au sein d’une même couche. Ce processus est répété jusqu’à l’achèvement des systèmes complexes formant un circuit intégré tel qu’un microprocesseur.

Le développement de tels systèmes lithographiques est motivé par l’économie: une puissance de calcul et une capacité de stockage toujours plus importantes sont nécessaires tandis que les coûts et la consommation d’énergie doivent être réduits. Ce développement peut être décrit dans une règle simple, bien connue sous le nom de loi de Moore, qui dit que le nombre de transistors dans un circuit intégré dense double environ tous les deux ans.

Une limitation majeure vient des lois de l’optique. Le physicien allemand Ernst Abbe a découvert que la résolution d’un microscope d est (grosso modo) limitée à la longueur d’onde λ de la lumière utilisée en éclairage:

d = λ/(nsn(α)) (1)

où n est l’indice de réfraction du milieu entre la lentille et l’objet et α est le demi-angle du cône de lumière de l’objectif. Pour la lithographie, en remplaçant l’ouverture numérique (NA) par n sin(α) et en ajoutant un facteur k à la formule (car la résolution lithographique peut être fortement modifiée avec des astuces d’éclairage), la structure minimale réalisable, ou dimension critique (CD), est:

CD = kλ/NA(2)

Cette formule, qui régit tous les processus d’imagerie lithographique, montre clairement pourquoi la longueur d’onde est un paramètre si crucial. En conséquence, les ingénieurs ont cherché des sources lumineuses avec des longueurs d’onde de plus en plus courtes pour produire des fonctionnalités de plus en plus petites. En commençant par les lampes UV à vapeur de mercure, ils sont passés aux lasers excimères d’une longueur d’onde de 193 nm. L’industrie de la lithographie a été surprise lorsqu’Intel a annoncé en mai 2003 qu’elle abandonnerait le laser excimer à 157 nm comme étape suivante et opterait plutôt pour l’EUV à une longueur d’onde de 13,5 nm. Les problèmes avec les matériaux optiques étaient considérés comme le principal obstacle, et l’EUV semblait à quelques pas du développement.

Il a été rapporté à cette époque que Peter Silverman, membre d’Intel et directeur des opérations d’équipement de lithographie de la société, avait présenté une feuille de route montrant que l’EUV devait être déployé pour le nœud 32 nm en 2009. Cela s’est avéré beaucoup trop optimiste et les gens ont dû trouver des moyens d’exploiter les sources à 193 nm pour obtenir des caractéristiques de plus en plus petites grâce à des techniques telles que la lithographie par immersion et des astuces d’éclairage sophistiquées.

Une source de lumière EUV pour l’industrie

De nombreux problèmes ont dû être résolus pour la lithographie EUV; tout d’abord, une source de lumière puissante était nécessaire. Au début des années 2000, les sources de plasma à décharge (telles que vantées par Xtreme Technologies) sont apparues les plus favorables, mais les sources de plasma produites au laser ont rapidement montré qu’elles étaient les plus viables pour la mise à l’échelle. Des groupes au Japon, en Europe et aux États-Unis ont travaillé dur sur cette approche.

Enfin, la société Cymer basée à San Diego a remporté la course avec un système qui utilise un laser CO2 pour créer un rayonnement EUV à partir d’une gouttelette d’étain de 30 µm. Alors qu’ils ont promu une source de 30 W plutôt instable en 2007, c’est en 2014 qu’ils ont montré pour la première fois comment atteindre 250 W, un chiffre considéré comme la percée pour la production à grand volume. Augmenter l’efficacité du processus de conversion de l’EUV a été un merveilleux travail de recherche appliquée qui a rendu la lithographie EUV viable après tout. Pour permettre des progrès plus rapides (et sécuriser son fournisseur unique), ASML a acheté Cymer en 2012.

La solution finale pour produire juste assez de rayonnement EUV pour une viabilité commerciale est une machine qui impressionne même les experts laser chevronnés. Il est basé sur le laser le plus puissant jamais construit en série : un laser CO2 de 40 kW. L’ensemble du système a besoin d’une alimentation de 1 MW. Comme seule la petite fraction de 200 W de puissance est utilisée pour le traitement de la plaquette, le refroidissement est un problème majeur.

Le seul fournisseur de cette technologie est TRUMPF à Ditzingen, en Allemagne. Peter Leibinger, propriétaire et directeur technique de Trumpf, est bien conscient du rôle de son entreprise: « Si nous échouons, la loi de Moore cessera. Bien sûr, le monde ne dépend pas de TRUMPF, mais sans TRUMPF, l’industrie des puces ne pourrait pas le faire « , a-t-il déclaré dans une interview en 2017.

Les lasers à CO2 typiques de TRUMPF délivrent quelques kilowatts de rayonnement à ondes continues (CW). C’est juste pour couper l’acier. Pour EUV, TRUMPF a développé un laser qui produit 40 kW de rayonnement pulsé à un taux de répétition de 50 kHz. Le laser, avec ses deux semoirs et ses quatre étages d’amplification, est si grand qu’il doit être placé sur un étage séparé sous la machine EUV. Peter Leibinger, directeur technique de TRUMPF, montre le laser CO2 de 40 kW qui pompe le générateur EUV. Peter Leibinger, directeur technique de TRUMPF, montre le laser CO2 de 40 kW qui pompe le générateur EUV.TRUMPF

Pour répondre à la demande du marché, TRUMPF a investi massivement dans une usine entièrement nouvelle avec 10 baies de production uniquement pour ces lasers. Avec 10 semaines pour les assembler, l’entreprise a maintenant une capacité de 50 systèmes par an. Au moment de la rédaction de cet article, 44 systèmes étaient sur le terrain et un total de 30 expéditions était attendu en 2019.

Remarque — La résolution des systèmes NXE: 3400 est d’environ 13 nm; cela se réfère à la formule (2) et au pas de grille réel. Ceci est très différent des « nœuds » souvent discutés par les fabricants de puces. A l’origine, les noeuds faisaient référence à la longueur de grille d’un transistor. Évidemment, cela peut différer en fonction du procédé et, par conséquent, du fabricant. Aujourd’hui, cependant, le nœud ne fait référence qu’à un certain processus développé par un fabricant de puces et ne correspond pas directement à la résolution de l’optique. Par exemple, les fabricants de puces vantent des nœuds 7 nm ou 3 nm faisant référence à leurs processus propriétaires utilisant des machines EUV similaires. Inside NXE: 3400 - le trajet optique complet de la lumière avec la source EUV en bas à droite et le masque en haut. Intérieur NXE:3400 – le chemin de lumière optique complet avec la source EUV en bas à droite et le masque en haut.ASML

La coopération est la clé

Alors que plus de 1000 fournisseurs sont impliqués dans l’ensemble, la technologie de base est fabriquée par Trumpf, Zeiss et ASML. Ils ont développé des formes de coopération plutôt non conventionnelles dans le cadre du projet EUV. Peter Leibinger de Trumpf l’a qualifiée de « société pratiquement fusionnée » avec une politique à livre ouvert et un vaste échange de personnes et de savoir-faire.

Zeiss SMT a une longue histoire avec ASML, car la société a produit ses premières optiques de lithographie pour Philips en 1983; cette entreprise a été créée en 1984 et nommée ASML.

Ensemble, Zeiss et ASML ont conquis le marché des systèmes de lithographie bien avant l’EUV. En 2010, ils détenaient déjà une part de marché d’environ 75% pour les systèmes de lithographie. Jusqu’à présent, ils sont les seuls fournisseurs de systèmes EUV de qualité industrielle. Pour favoriser la relation, ASML a acheté une participation de 24,9% dans Zeiss SMT pour environ un milliard d’euros en novembre 2016. En outre, ASML a promis de soutenir les efforts de Zeiss SMT en matière de R& D pendant six ans avec 220 millions d’euros plus un soutien aux investissements de 540 millions d’euros.

Cet argent était très nécessaire, car Zeiss investissait massivement dans l’EUV. La société a construit des halls de fabrication et de métrologie près d’Oberkochen, en Allemagne; actuellement, elle achève la préparation de la prochaine génération d’optiques EUV avec une NA plus élevée — un autre investissement de 700 millions d’euros. Cela inclut des chambres à vide poussé de la taille d’un camion pour la métrologie des systèmes optiques. Les surfaces des miroirs qui sont testées dans ces chambres ont une tolérance maximale de 0,5 nm, elles utilisent donc la technologie d’alignement et de métrologie la plus précise jamais construite dans l’industrie.

Assemblage final d’un outil de 180 tonnes

Zeiss SMT dispose d’une installation de haute technologie géante, mais elle est surmontée par les halls de fabrication des installations de Veldhoven d’ASML. Si vous y allez à la cafétéria, vous aurez peut-être l’impression d’être sur un campus en Californie. De nombreux jeunes de toutes les nations se rassemblent autour et sirotent leurs lattes. Cet environnement pourrait refléter le fait qu’ASML a dû embaucher rapidement de nouveaux employés pour suivre le rythme de sa croissance rapide. En 2018, leur personnel a augmenté de 21%, employant désormais plus de 800 docteurs et plus de 7500 ingénieurs sur un effectif total de 23 000 personnes.

Les bâtiments derrière la cafétéria comprennent la plus grande salle blanche que j’ai jamais vue. Dans cinq salles sur cinq, les machines EUV steppers sont terminées. Le modèle haut de gamme actuel, le NXE: 3400B, pèse 180 tonnes et nécessite 20 camions ou trois Boeing 747 entièrement chargés pour l’expédition. Le prix est de 120 millions de dollars. Il peut traiter 125 plaquettes par heure avec une résolution allant jusqu’à 13 nm.

Au second semestre 2019, l’expédition d’un NXE:3400C amélioré a été annoncée. Il comportera des éléments optiques à transmission plus élevée, un récipient modulaire pour une facilité d’entretien nettement plus rapide et un gestionnaire de réticules et de plaquettes plus rapide pour soutenir une productivité accrue. Ces appareils atteignent un débit de 170 plaquettes par heure.

 Les employés d'ASML travaillent à l'assemblage final d'un NXE:3400B sans ses panneaux. Les collaborateurs d’ASML travaillent à l’assemblage final d’un NXE:3400B sans ses panneaux.ASML

Qu’est-ce qui vient après l’EUV?

La réponse est – EUV. Jusqu’à présent, les optiques EUV ont atteint un NA de 0,33. La prochaine génération (ASML a annoncé la machine comme NXE Next) aura un NA de 0,55, permettant une résolution inférieure à 8 nm. Il comprend des optiques plus grandes, ce qui explique les efforts importants et accrus de ZEISS SMT. Zeiss a déjà commencé la production cette année.

À la suite de ces efforts combinés, il est clair que la technologie est poussée à ses limites physiques, permettant des spécifications qui n’étaient jusqu’alors pas imaginables. Par exemple, les plaquettes à l’intérieur du système de lithographie sont maintenues sur des plaques de verre spéciales (appelées pinces à plaquettes). Ils se déplacent à des accélérations allant jusqu’à 3g, maintenant la plaquette en place avec une précision allant jusqu’au nanomètre. En même temps, la plaquette est éclairée par une lumière EUV à une charge thermique de 30 kW / m2 sans perdre son emplacement précis.

Bien qu’un certain nombre de problèmes techniques soient encore en discussion, le marché semble très confiant que la lithographie EUV offrira un avantage substantiel pour l’industrie des semi-conducteurs dans un avenir prévisible.

Qu’est-ce qui vient après le VUE à haute NA? Jusqu’à présent, il ne semble pas encore y avoir de réponse sérieuse. D’une part, plusieurs groupes de recherche se préparent à des longueurs d’onde plus courtes. Deux institutions de la Société allemande Fraunhofer ont achevé un projet de recherche sur « Beyond EUV » en 2016. Ils ont travaillé sur des revêtements réfléchissants (à l’IOF) et des sources de plasma (à l’ILT) pour une longueur d’onde de 6,7 nm. Un groupe suisse a résumé la recherche sur les photorésistes en 2015. Des méthodes alternatives de nanopattering telles que l’estampage ou la lithographie par faisceau électronique évoluent. Une « feuille de route pour la structuration » en 2017 était une tentative de discuter de leur développement ultérieur.

Mais si l’on regarde ce développement de loin, il semble que la complexité de la technologie lithographique ait atteint son maximum viable. Ce qu’ASML et ses alliés construisent actuellement dans leurs cathédrales de haute technologie présente les systèmes technologiques les plus grands et les plus avancés de notre époque. Bien que ce soit une preuve étonnante de maîtrise scientifique et technique, cela laisse l’impression que de nouveaux progrès substantiels nécessiteront une approche complètement différente pour répondre aux exigences accrues de stockage et de traitement des données.

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée.